芯片(集成电路)
数字电路
芯片设计
数字IC设计
IC设计师

IC前端设计需要掌握哪些知识,有哪些书可以看?

本人本科电子信息工程,对集成电路相关知识有所掌握,无奈研究生导师搞光器件的,想自学去IC前端设计,请问需要掌握哪些技能?
关注者
37
被浏览
89,315

9 个回答

数字IC设计 | 入门到放弃指南


声明:未经授权,禁止转载搬运


数字IC设计技能树:

1.语言

  • 主流的Verilog、VHDL

Verilog语言与软件语言最大的区别就是,因为它是用于描述电路的,因此它的写法是非常固定的,因为电路的变化是非常有限的。学习Verilog的时候,很多时候我们并不是在学习这门语言本身,而是学习其对应的电路特征,以及如何对这个电路进行描述。如果心中没有电路,那么你是不可能写好Verilog的
VHDL语言的严谨性比Verilog要好,不像Verilog中一样存在大量符合语法却永远无法综合的语句。

  • SpinalHDL、Myhdl等

SpinalHDL和Chisel师承一脉,都是基于Scala来进行电路描述。其本质上仍旧是HDL而非HLS,在设计之前依旧需要有清晰的电路结构,只不过是在电路描述上更加方便和快捷而已。
目前有一些公司已经应用于FPGA设计中,ASIC应用还有待探究。
SpinalHDL资料:
https://spinalhdl.github.io/SpinalDoc-RTD/master/index.html

  • SystemVerilog/SystemC

这两种语言都是为了验证而存在的,掌握基本的验证方法学有助于提高自己的debug效率,SystemVerilog是一种面向对象的语言,其设计的本意是用于搭建验证平台,主流的UVM方法也都是基于SystemVerilog实现的,所以立志成为IC验证工程师的同学,SystemVerilog的深入学习和流行方法论的学习都是必不可少的。
而对于那些只想做IC设计的同学而言,SystemVerilog同样也是值得学习的。且不说本文前面提到的用于提高验证效率的debug方法,即使只是为了做好设计,SystemVerilog也是大有用武之地。很多顶级的IC设计公司内部都开始使用SystemVerilog进行RTL设计了。
由于在SystemVerilog中加入了很多类似always_ff、always_comb等用于显式表明综合电路意图的新语法,代码的可读性更高,综合过程中也减少了歧义,尽可能地保证了综合结果与设计意图的一致性。从另一个角度来说,assertion的加入也极大地提高了代码的debug效率,非常有助于在大规模的数据交互过程中定位到出错的初始点,没有掌握的同学可以多花一些时间学习一下。
-> SV基础知识

  • 脚本语言:Makefile/Perl/Python/Shell

Makefile/Perl/Python/Shell都是常用的脚本语言,进行批量修改文本内容,实现自动化操作等,掌握一门得心应手的脚本语言将对工作效率的提升帮助极大。但凡做过一次的事情,就没有必要重复第二次
-> Perl在IC中的应用

  • 脚本语言:Tcl

在IC设计这个领域中,Tcl是一门非常常见的语言。可以用于描述时序和管脚约束文件,UPF信息,也可以用来搭建简单的工作平台。既是很多EDA工具默认支持的脚本语言,也是这些工具配置和输出的文件格式。因此,能够读懂Tcl,掌握Tcl语言的基本语法,就可以帮助更好的使用EDA工具。
-> 从零开始学Tcl

  • C语言

作为基础语言,在验证时,往往需要用到C写的case;
2.基础知识

  • 数电模电、电路分析、数据结构、计算机体系架构等
  • AMBA总线
    AXI、AHB、APB、CHI、ACE等;

-> AMBA总线

  • 高低速接口
    PCIE、DMA、DDR、USB、UART、SPI、I2C、MIPI等

-> 总线接口

  • 低功耗设计
    动态功耗、静态功耗、常见低功耗设计方法

-> 低功耗设计

  • 静态时序分析
    建立时间、保持时间、亚稳态及其解决方法、时序约束

-> 时序约束策略 亚稳态 FPGA中的亚稳态

  • 设计方法
    乒乓操作、流水线、串并转换、无毛刺切换、状态机等
    跨时钟处理:快到慢、慢到快、异步FIFO(FIFO深度计算)等

-> 时钟切换电路(Glitch-free clock switching circuit) 异步FIFO 同步FIFO 同步和异步FIFO FIFO深度计算

3.设计工具

  • linux系统:linux、shell常见命令
  • gvim/emac编辑器

熟练使用Vim/Emacs,用编程的方式来编程,可以提高效率。

  • 版本管理工具:SVN、Git、p4等

版本管理,简而言之,就是一种用于记录和查询文件版本改动的工具;

  • EDA工具

仿真工具:NCVerilog/ VCS/ ModelSim/ iVerilog
以上是比较业界比较主流的仿真工具,其中Icarus Verilog (iVerilog)为开源工具,仿真过程需要了解:
如何指定编译的文件类型;
如何指定编译文件清单;
如何指定索引目录;
如何指定仿真精度;
如何指定临时的宏变量;
如何指定语法检查的严苛等级;
如何混合编译由多种语言写成的工程;
如何调用不同波形生成工具的pli接口;
如何配合SDF反标进行后仿等;
-> 芯片后仿及SDF反标 VCS实用技巧 开源verilog仿真工具iverilog+GTKWave
波形查看工具:DVE/ Verdi/ gtkWave
以上是业界比较主流的波形查看工具,所有的波形查看器都必须支持标准波形文件*.vcd格式,但是由于*.vcd格式的存储性能并不好,冗余信息过多,所以各波形查看工具都纷纷推出了自己支持的波形文件格式,如DVE的*.vpd,Verdi的*.fsdb,ModelSim的*.wlf;
gtkWave也是跨平台的,而且简单易用,支持*.vcd标准格式,同时支持高性能压缩格式*.lxt和*.fst,gtkWave自带vcd转fst的转换器;
通常几G左右的*.vcd格式波形转换为*.vpd格式后只有几十MB左右,而转换为*.fsdb后通常会更小,因此将标准波形文件*.vcd转换为其他压缩格式更加有利于数据备份。
-> fsdb实用技巧 verdi实用技巧
逻辑等效性检查工具:formality-> 形式验证与formality基本流程
从功能上对综合后的网表进行验证,常用的就是等价性检查方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。
综合工具:dc -> DC综合
逻辑综合就是将HDL代码翻译成门级网表netlist;
lint/cdc检查工具:spyglass -> SpyGlass安装教程 spyglass 基础操作 spyglass 常见错误lint
后端:PT、IIC2、innovus等
FPGA综合布线布局工具:synplify/vivado->
->synplify 基础操作 基于synplify+vivado生成bitfile
其他:VC_static_tools -> VC_static Tools安装教程

4.相关岗位
前端设计
RTL IP设计:从算法协议到RTL coding的能力;
SoC设计集成/验证:总线、功耗、系统架构的能力;
SOC侧重将IP,模块集成形成一个系统,所以片上互联总线和外设接口是掌握的重点。片内互联总线一般是AMBA总线。外设接口又分为低速接口和高速接口,其中低速接口包括I2C,UART,SPI等,高速接口包括SATA,USB,PCIE,DDR等;
验证
FPGA原型验证:HAPS -> HAPS®-100原型系统 芯片验证技术 |HAPS与高性能ASIC原型验证
UVM验证:SV、UVM主流验证方法学 -> UVM芯片验证
EMU验证:zebu、帕拉丁 -> 芯片验证应用|基于ZeBu平台的虚拟主机应用方案
综合:
逻辑综合就是将HDL代码翻译成门级网表netlist;
STA
Static Timing Analysis(STA),静态时序分析,它主要是在时序上对电路进行验证,检查电路是否存在建立时间(setup time)和保持时间(hold time)的违例(violation),一个寄存器出现这两个时序违例时,是没有办法正确采样数据和输出数据的,所以以寄存器为基础的数字芯片功能肯定会出现问题。
DFT
Design ForTest,可测性设计。芯片内部往往都自带测试电路,DFT的目的就是在设计的时候就考虑将来的测试。DFT的常见方法就是,在设计中插入扫描链,将非扫描单元(如寄存器)变为扫描单元;如bist、Scan Design、JTAG、ATPG等;
后端
物理验证、布线布局、静态时序分析、等价性检查、功耗分析

最后:对于应届生来说第一步当然是刷题,熟能生巧!!!
在获取面试资格前,必须先通过笔试,因此笔试是秋招、春招、实习的第一关
刷题推荐牛客网:
(1)牛客网新增了数字IC前端设计、数字IC验证、数字IC后端、模拟IC设计、DFT工程师等题库

(2) 在线编程
牛客正式上线全网首家Verilog编程题单啦,本次题单分为《入门题单》和《进阶题单》! ! !

《入门题单》适合刚接触Verilog的小白或者想要巩固基础的牛油,主要考察基础语法、组合逻辑、基础逻辑三大类知识点。
《进阶题单》适合已经有一定基础,想要锻炼提升的牛油,整个题单考察的知识点以及难度均为大厂校招的水准,找工作的快来刷起来吧。


最近跟好几个学弟学妹交流,我发现他们都不知道牛客网有IC题库、在线刷题功能、招聘资源(实习、春招、秋招)、面经,还有很多公司的内推码,所以这里推荐给大家,牛客网分为手机APP和电脑端在线,在线编程功能需要在电脑端,其他的都可以在手机APP端,没下载的可以直接电脑点击下方链接下载。
转送门:

编辑于 2022-03-08 10:20

A:首先是电子工程师基础知识(这些数大学有课程,使用课程教材就行啦):
1:电路分析,数字电路基础;

2:微机原理,汇编语言;

3:C/C++语言,数据结构;

4:Verilog语言(比如Michael, D.Cilette的《Verilog HDL高级数字设计》或夏宇闻老师的《Verilog数字系统设计教程》);

5:晶体管原理;(做数字IC/FPGA设计,只需大致了解)

B:然后是数字IC设计专业知识:这部分推荐书籍:《CMOS VLSI Design A Circuits and Systems Perspective》。自认为是数字IC设计入门“圣经”。基本电路结构,加减法器结构,组合逻辑,时序逻辑,跨时钟设计都有涉及。

C:IC前端设计是工程科学,动手不可少,然后还需要:进阶知识、技能 --> 项目锻炼。
具体见: SiliconThink:数字IC/FPGA设计100问之——学习路径

------------------分割线---------------------

数字设计理念与IP设计快速入门:sky的《数字IC/数字电路/FPGA设计_从入门到精通_合集》:

1): 普通班:

item.taobao.com/item.ht

2):低起点实战班:

item.taobao.com/item.ht

已有4家IC公司用此课程做新人培训。


欢迎访问SiliconThink的知乎主页,更多数字设计知识分享: SiliconThink - 知乎 (zhihu.com)

SiliconThink:数字IC/FPGA设计基础_门控时钟(clock gating)

SiliconThink:数字IC/FPGA设计基础_FIFO原理与控制

SiliconThink:数字IC/FPGA设计基础_1bit跨时钟域电路设计

SiliconThink:数字IC/FPGA设计基础_仿真验证方法

SiliconThink:数字IC/FPGA设计基础_竞争与冒险

编辑于 2023-02-06 13:52

IC前端设计到底是什么?

集成电路设计(Integrated Circuit,简称IC)一般分为数字IC设计、模拟IC设计和数模混合IC设计。

数字IC设计一般又会进一步细分为前端设计(Front-end Design)和后端设计(Backend Design)。

前端数字IC工程师的工作一般包括电路规范(Spec.)的撰写,电路架构的设计,电路的实现以及验证、综合出符合要求的电路网表(netlist),最后按一定的工作规范要求提交netlist 给后端部门。

IC前端设计工程师需要具有什么能力?

前端设计工程师必备技能

1、熟悉数字电路设计

2、熟悉Verilog或VHDL

3、熟悉异步电路设计

4、熟悉FIFO的设计

5、熟悉UNIX系统及其工具的使用

6、熟悉脚本语言Perl、Shell、Tcl等

7、熟悉C/C++语言、SystemVerilog、Matlab等

8、熟悉DC、VCS、Verdi、LEC、PT、Spyglass等工具的使用

9、有FPGA验证经验

10、熟悉CMOS电路原理

11、熟悉数字信号处理算法、通信算法、图像算法、人工智能算法等中的一种或多种

12、熟悉计算机体系结构、熟悉通用MCU/SOC设计流程

13、熟悉各种总线协议、接口协议等14、有相关芯片设计/流片经验

对于刚入门的人来说IC前端设计需要具备的能力:

扎实的Verilog功底

一般来说Verilog是问的最多的,Verilog写代码本身是很容易的,但想要写出高质量的代码,需要有一定的经验。

项目的debug能力

同样一个很简单代码,大家的水平都是不一样的。 这就需要能懂很多的协议,面试的时候水平也就体现了出来。

各种常见的IP都能够了解一下,因为写的代码在前端设计中,用于不同的地方(移动端、通讯芯片等),所以这个岗位需要懂很多的协议,要花费很多的时间了解各种总线,各种架构。

这个岗位的要求虽然比较高,但相比于后端,验证这些岗位掌握的技能反而没那么多,但需要掌握的更扎实。

薪资和发展

数字前端设计工程师的薪资待遇比较高,就连应届毕业生的薪资都可以达到12K-20k,具体薪资和学历,专业,公司这些都息息相关。一般来说,硕士以上薪资可以达到年薪40W,一线城市的薪资大概是年薪25W+。

随着IC行业的不断发展,薪资也水涨船高,尤其是有经验的工程师非常吃香,可谓是越老越吃香,再也没有中年失业的烦恼。

IC前端设计推荐书籍

《Verilog HDL高级数字设计(第2版)》

作者:西乐提(MichaelD.Ciletti)

出版社:电子工业出版社

书里对如何用Verilog HDL对数字系统进行建模、设计、验证讲的很详细,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器相关知识。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程也进行了深入讲解。

对于前端设计来说,这本书对code水平提升很有帮助,大家可以试着做个简单但完整的设计。

《数字集成电路:电路、系统与设计(第2版)》

作者:拉贝尔(Jan M.Rabaey)

出版社:电子工业出版社

这本书是美国加州大学伯克利分校的经典教材,也是国内高校的参考教材和考研参考书。书里详细地介绍了MOS管原理、CMOS组合逻辑、时序逻辑、加法器乘法器等运算单元、存储结构、以及时序、互连、电路寄生效应,包含充分的理论分析和电路结构图。

想要成为一名数字数字前端设计工程师,不仅需要扎实的知识技能,还要有相关的项目经验。如果你只会理论知识但是无法落地到真正的项目操作,“纸上谈兵”是没有用的。


如果你当下对入行/转行有一定困惑和意向,或者想了解项目,以及免费获取各岗位的学习资料。

这里放个入口: IC入行咨询

发布于 2023-02-24 10:49

一、数字芯片设计都需要具备哪些技能?

想要成为一名合格的数字IC设计工程师,你必须要掌握这些技能。

1、数字集成电路基础

学习【数字集成电路基础】是IC设计的开始。CMOS制造工艺则是我们了解芯片的第一节课。

2、设计基础

数字IC设计流程,让我们清楚在变为芯片的过程中,我们的工作主要处于流程中的哪个位置。即输入是什么,产出是什么。

组合逻辑和时序逻辑是数字电路的两大类型,掌握基本的设计方法不可少。

功能仿真也是设计的一个关键步骤,通过功能仿真发现bug。目前功能仿真形成了一整套的方法学,叫作验证方法学。

时序分析是评判由于电路的延时能否正常工作的重要方法,也是设计中一个重要步骤。

3、设计实例基础

运算器、编码器、译码器、串并转换、序列检测。

进阶CPU核设计(8086、ARM)总线(Intel、AMBA)外围功能IP(SPI、IIC)存储器接口(ROM、RAM、FLASH)通信、图像、视频等领域的功能模块设计

4、编程语言与EDA

设计常用的编程语言是Verilog和VHDL。编程语言不等同于数字电路设计,编程语言仅仅是快捷设计的工具。学数字集成电路设计不仅是学Verilog。

做数字设计的第一步是理解需求,写SPEC设计规范文档。第二步是分解功能,画框图。第三步是定义各模块的接口和交互方式。第四步是定义模块内部的工作方式,状态机,时序图。最后则是写代码。

Verilog编程语言包括了可综合部分和验证部分,EDA是工具,是辅助设计、提升效率的帮手,但也不能完全依赖工具。

二、数字芯片设计包括哪些工作?

当你具备以上所有技能之后,那就可以考虑选择日后从事的岗位方向了。按照流程前后和不同环节,岗位分为数字设计工程师、数字验证工程师和数字后端工程师。


实际就职上,不同公司的实际人员分工各不相同,例如华为芯片设计的后端部分综合和布局布线就是有相应的人负责。

不过,并不是所有从业者都是把上面的东西全部掌握,这也是为什么EE专业通常要读到硕士才能称得上技能扎实的原因。很多其他专业或是半路转行的人,会根据具体岗位进行具体培训,有的放矢,在最短的时间内保证掌握所选方向上的专业知识。

三、数字芯片设计待遇如何?



在“三百六十行,行行转码农”的大环境下,IC硬件行业还是经得起考验的。

不仅仅是国家的各项政策,地方的高新项目,高校的专业扶持,更关键的是芯片行业的待遇是扎扎实实稳步上升的。

相较于码农行业所谓的黄金从业时期,跟IC工程师薪资待遇挂钩的是长年累月的项目经验。

一年到三年是一般水平,三到五年是熟练水平,五年到十年是精通水平。

中国的IC产业起步较晚,如果是具备十多年经验的从业人士,那已经称得上是行业里的顶尖人才了。

要知道,华为创立ASIC设计中心距今不过短短三十年,海思更是2004年才正式成立。当然,具体的待遇要落到实际的数字上,打开某某直聘,直接搜索相应的行业岗位,薪资待遇一目了然,比起IT行业的高低落差,IC行业还是相当可靠的。(毕竟门槛不同)以二线城市西安为例:

四、行业虽风口,入行需谨慎

正因为IC行业人才稀这个明摆着的事实,很多人冲着人才培养的噱头就冲了进来。

按着以为考公考研考码农的案例,单纯着想着复制模式就行了,结果把IC行业本应高门槛高标准的选人标准无底线拉低。

像是“零基础,学历不限”这样的离谱宣传都喊得出来,给学生画饼,收钱之后就放任自流,这种事情很多。

这个行业并不是一无所知的小白听听几节课就能踏入的,不仅需要有集成电路的基础常识,还需要具备一定的英语水平和代码知识,更重要的是需要有芯片设计这份事业的兴趣和热爱。

编辑于 2021-08-17 13:48

为什么不转cs呢??

发布于 2021-08-09 15:13

自学还是去搞验证吧,报班就能去,当然以后能不能找到工作是另一回事

发布于 2021-08-08 09:42

熟练掌握数字电路设计的基本原理和基础知识以及设计流程和方法

熟练掌握SoC系统芯片的软硬件功能划分,以及软件功能实现流程;

了解模拟电路与数模混合设计接口,掌握数模混合电路设计方法和流程;

在SoC系统芯片设计和RTL代码实现是,正确处理软硬件兼容以及数模接口兼容;

熟练掌握数字逻辑仿真和数字逻辑综合技术,了解门级设计流程;
·

在SoC系统设计和RTL代码编写过程中,考虑到可综合性,实现面积,性能,功耗的权衡,减小后端设计时可能引入的误差和风险;

编写和维护数字电路系统设计开发文档。

发布于 2021-08-09 16:53

去买个fpga板子自己玩玩吧,可以尝试写点51、iic和spi之类的小玩意儿,再学学amba wishbone之类的通用总线协议。没有实际项目练手也只能做到这个地步了。

硕士校招找个小公司还是没啥问题的,中大公司除了中兴其他都很难。

发布于 2021-08-09 11:35

IC行业因为属于高科技产业,特别是设计部门,技术和经验非常重要。想要胜任这个岗位,必须要阅读大量的专业书籍。

理论虽不是万能的,但它能指导你在实际工作中的绝大多数问题。

​通用基础类

这类书籍是适用于多个岗位的,涉及的面也会更广一些。

一、《半导体物理学(第7版)》


作者:刘恩科、朱秉升、罗晋生 出版社:电子工业出版社

作者:刘恩科、朱秉升、罗晋生

出版社:电子工业出版社

刘科恩版的这本书就不用多说了,被国内的大部分高校都用作半导体物理这门课程的教材。

在座的大多数同学,大学期间应该都已经学过。

这本书主要以阐释物理概念为主,对于半导体物理相关的基础性知识讲解很全面。

二、《半导体器件物理(第3版)》


作者:施敏、伍国珏 出版社:西安交通大学出版社

作者:施敏、伍国珏

出版社:西安交通大学出版社

这本经典著作在半导体器件领域已经树立起了先进的学习和参考典范。

大家理解半导体器件,就先理解PN结。

“如果把PN结理解了,剩下的MOS管,BJT也不过是PN结和电容的组合。”

另外,大多数器件物理相关的书籍,里面也会涉及到一些半导体物理的内容。一般建议大家先看半导体物理再看器件物理,循序渐进。

三、《数字电子技术基础(第5版)》


作者:阎石 出版社:高等教育出版社

作者:阎石

出版社:高等教育出版社

数电绝对是数字IC设计最最基础的内容。数电相关的书籍是比较多的,这本是比较经典的一本,如果手头有别的书也可以。

主要是为了弄懂:与或门电路、接触器、译码器、脉冲产生、逻辑化简。

大学期间要学这三门课的同学,请务必上课认真听。

如果是转行的同学,之前没有接触过,建议搭配网课一起听,效果double。


接下来是语言一类的图书推荐。

四、《C语言程序设计现代方法》


作者:K.N.金(K.N.King) 出版社:人民邮电出版社

作者:K.N.金(K.N.King)

出版社:人民邮电出版社

IC工程师并不需要像程序员一样天天敲代码,学C语言完全是工作中的实际需要。

比如验证工程师,就需要用C语言来写case。所以不用学的太深,熟悉基本语法和命令就差不多了。

能提高工作效率,早两个小时回家,你确定不学吗?


五、《Perl语言入门》


作者:兰德尔·L.施瓦茨、汤姆·菲尼克斯、布赖恩·D福瓦 出版社:东南大学出版社

作者:兰德尔·L.施瓦茨、汤姆·菲尼克斯、布赖恩·D福瓦

出版社:东南大学出版社

这本书是perl脚本的入门书籍,包含了perl脚本的基本语法,差不多20个小时就能全部读完。

perl、python这类脚本语言,和C语言一样属于工作需要。可以实现批量操作,效率高且错误率低。

这本“小骆驼书”,建议买一本放手边,相信我,你会经常用得到。


六、《鸟哥的Linux私房菜 》


作者:鸟哥 出版社:人民邮电出版社

作者:鸟哥

出版社:人民邮电出版社

Linux是实际工作中实际要用到的工作环境。

这本书对各种功能和命令的介绍,都配以大量的实例操作和详尽的解析。讲解比较全面细致,属于初学者友好的类型。

到这里,“通用基础类”的书籍就结束了,接下来是分不同岗位的书籍推荐。

车速即将120迈,请各位系好安全带。


前端设计类

七、《Verilog HDL高级数字设计(第2版)》


作者:西乐提(MichaelD.Ciletti) 出版社:电子工业出版社

作者:西乐提(MichaelD.Ciletti)

出版社:电子工业出版社

书里对如何用Verilog HDL对数字系统进行建模、设计、验证讲的很详细,涵盖了RISC、UART、异步FIFO、数字信号处理、乘法器和触发器相关知识。对ASIC/FPGA系统芯片工程设计开发的关键技术与流程也进行了深入讲解。

对于前端设计来说,这本书对code水平提升很有帮助,大家可以试着做个简单但完整的设计。

推荐指数五颗星。


八、《数字集成电路:电路、系统与设计(第2版)》


作者:拉贝尔(Jan M.Rabaey) 出版社:电子工业出版社

作者:拉贝尔(Jan M.Raba作者:拉贝尔(Jan M.Rabaey) 出版社:电子工业出版社ey)

出版社:电子工业出版社

这本书是美国加州大学伯克利分校的经典教材,也是国内高校的参考教材和考研参考书。

书里详细地介绍了MOS管原理、CMOS组合逻辑、时序逻辑、加法器乘法器等运算单元、存储结构、以及时序、互连、电路寄生效应,包含充分的理论分析和电路结构图。


功能验证类

九、《System verilog验证》


作者:克里斯·斯皮尔 出版社:科学出版社

作者:克里斯·斯皮尔

出版社:科学出版社

我赌5毛,这本验证的绿皮书,肯定是验证工程师人手一本。

算是一本学习SV语言的初级阶段读物。主要讲SV语言的工作原理和各种验证方法,书里还有大量的实例可供参考。


十、《UVM实战》


作者:张强 出版社:机械工业出版社

作者:张强

出版社:机械工业出版社

这本验证白皮书算是IC验证的圣经。

主要介绍UVM的使用,里面有大量的示例代码。适合有Verilog和SV基础的人来学。

而且实用性很强,也是也属于边学边用、边用边学的类型。


后端设计类

十一、《数字集成电路物理设计》


作者:陈春章、艾霞、万国雄 出版社:科学出版社

作者:陈春章、艾霞、万国雄

出版社:科学出版社

这本书作者是Cadence的资深技术人员,内容主要是基于Cadence的EDA工具。

是目前国内介绍后端布局布线设计技术非常完整、全面的一本教材。

但是目前已经绝版了,大家可以试试找电子版来看。


模拟版图类

十二、《模拟电路版图的艺术》


作者:艾伦·黑斯廷斯(Alan Hastings) 出版社:电子工业出版社

作者:艾伦·黑斯廷斯(Alan Hastings)

出版社:电子工业出版社

这本书主要讲的是模拟集成电路版图设计里涉及到的各类问题和研究成果,其实都是很基本的概念。

胜在讲解比较详细、全面、而且实用。


十三、《集成电路版图基础——实用指南》


作者:克里斯托弗·赛因特、朱迪·赛因特 出版社:清华大学出版社

作者:克里斯托弗·赛因特、朱迪·赛因特

出版社:清华大学出版社

这本是从基础半导体理论开始,循序渐进介绍基本集成电路单元的版图设计。

比上一本更加偏向基础,而且也辅之以图片和轶事,要更有趣一些。


小白科普类

十四、《大话处理器》


作者:万木杨 出版社:清华大学出版社

作者:万木杨

出版社:清华大学出版社

这本属于图文并茂的科普读物,相对前面那些硬到硌牙的技术大块头,这本书就有趣多了。

适合学累了的时候换换脑子。


十五、《芯片世界:集成电路探秘》


作者:邹世昌、海波、秦畅 出版社:华东师大出版社

作者:邹世昌、海波、秦畅

出版社:华东师大出版社

这本比上一本要更加直白易理解,适读年龄在9-14岁。很适合拿来给孩子做科普。

发布于 2022-08-22 11:58